Questions
- When using
SystemVerilog
, it’s best to use blocking assignments in sequential blocks and non-blocking in combinational blocks.- True
- False
- VHDL suffers from the same potential problem as SystemVerilog regarding mixing blocking and non blocking assignments.
- True
- False
- It is best to reset all sequential elements in a design.
- True
- False
- What are the most common ways of synchronizing?
always @(posedge signal) or rising_edge(signal)
always @(negedge signal) or falling_edge(signal)
- FIFO or a two-stage synchronizer with or without data
- Synchronizers… who needs synchronizers?
- (SystemVerilog) When would we use
always
@(posedge clk)
rather thanalways_ff @(posedge clk)
?- When we get tired of typing
- When we need to use an initial statement to preload the register...